4542 Views

High-Bandwidth Memory (HBM) Webinar Video

SK hynix, Inc., Amkor Technology, eSilicon, Northwest Logic and Avery Design Systems have joined forces to offer a complete high-bandwidth memory (HBM) supply chain solution. HBM is a JEDEC-defined standard that utilizes 2.5D technology to interconnect an SoC and an HBM memory stack. Many companies are already using HBM to create very high-bandwidth, low-power products. This webinar presents a complete HBM supply chain that is delivering and supporting customer HBM designs now.

 

 

 

 

 

Recent Stories