41305 Views

IC Design Flow – An Overview

Today, IC design flow is a very solid and mature process. The overall IC design flow and the various steps within the IC design flow have proven to be both practical and robust in multi-millions IC designs until now.

 

Each and every step of the IC design flow has a dedicated EDA tool that covers all the aspects related to the specific task perfectly. And most importantly, all the EDA tools can import and export the different file types to help making a flexible IC design flow that uses multiple tools from different vendors.

 

 

IC design flow is not exactly a push button process. To succeed in the IC design flow process, one must have: a robust and silicon-proven flow, a good understanding of the IC specifications and constraints, and an absolute domination over the required EDA tools (and their reports!).

This article covers the IC design flow in very high level. We will provide a more detailed articles in the future explaining more about the activities within each phase. Let’s start with the first step.

 

IC System Design

 

Assuming your IC specifications are completed and approved by the different parties, it’s time to start thinking about the architectural design. In IC system design phase, the entire IC functionality is broken down to small pieces with clear understanding about the block implementation. For example: for an encryption block, do you use a CPU or a state machine. Some other large blocks need to be divided into subsystems and the relationship between the various blocks has to be defined.  In this phase the working environment is documentation.

 

Register Transfer Level (RTL)

 

For digital ICs or for digital blocks within a mixed-signal IC, this phase is basically the detailed logic implementation of the entire IC. This is where the detailed system specifications is converted into VHDL or Verilog language. In addition to the digital implementation, a functional verification is performed to ensure the RTL design is done according to the specifications.

When all the blocks are implemented and verified the RTL is then converted into a gate level netlist.

 

Synthesis

 

In this phase the hardware description (RTL) is converted to a gate level netlist. This process is performed by a synthesis tool that takes a standard cell library, constraints and the RTL code and produces an gate-level netlist.

 

Synthesis tools are running different implementations to provide best gate level netlist that meets the constraints. It takes into account power, speed, size and therefore the results can vary much from each other. To verify whether the synthesis tool has correctly generated the gate-level netlist a verification should be done.

 

Layout

 

In this stage, the IC gate level netlist is converted to a complete physical geometric representation. The first step is IC floorplanning which is a process of placing the various blocks and the I/O pads across the IC area based on the design constraints. Then placement of physical elements within each block and integration of analog blocks or external IP cores is performed. When all the elements are placed, a global and detailed routing is running to connect all the elements together.

 

Also after this phase a complete simulation is required to ensure the layout phase is properly done.

 

The file produced at the output of the layout is the GDSII (GDS2) file which is the file used by the foundry to fabricate the silicon. The layout should be done according the silicon foundry design rules.

 

 

Summary of the different steps in a IC Design Flow

 

IC Design Flow Step 1: Logic Synthesis

  • RTL conversion into netlist
  • Design partitioning into physical blocks
  • Timing margin and timing constrains
  • RTL and gate level netlist verification
  • Static timing analysis

 

IC Design Flow Step 2: Floorplanning

  • Hierarchical IC blocks placement
  • Power and clock planning

 

IC Design Flow Step 3: Synthesis

  • Timing constrains and optimization
  • Static timing analysis
  • Update placement
  • Update power and clock planning

 

IC Design Flow Step 4: Block Level Layout

  • Complete placement and routing of blocks

 

IC Design Flow Step 5: IC Level Layout

  • IC integration of all blocks
  • Place and route
  • GDSII creation

 

 

Get a price quote from IC design companies for your IC project.

 

Recent Stories