42396 Views

What is Tapeout?

The term tapeout is seemingly a strange name for the final product considering that no form of tape is used in the process. However, the origins of the name go back to a time before computers or digital storage was invented.  It is important to understand that a tapeout or tape-out is resolution of the cycle of design for integrated circuits (ASICs). This is when the photomask of the circuit has been fully created and is sent to the manufacturer for production. But where does the name come from and why is used?

 

The origin of the term tapeout is not fully clear, but it may have something to do with the use of paper tape and subsequently magnetic tape reels which were used to hold the electronic files of the created photomask. This is how the final design of the tapeout was stored before being sent for production. However, there are other beliefs that the origin of the name came from the beginnings of printed circuit designs. When the circuit designs were enlarged so that it could be better followed, the photomask was “taped out” manually by using black-line tape along with die-cut elements which were found on sheets of PET film.

 

maskset

 

Whatever the origin of the name, the actual process is in many ways still the same although it has transferred from the physical to the digital world.

 

Today, those who create a photomask for ASICs using an approved electronic CAD file is called a tapeout. This particular stage of the process is sometimes referred to as Pattern Generation (PG) which designers use to describe the final manufacturing database for an ASIC.The semiconductor foundry which accepts the file will still perform additional checks and may modify the design if changes are needed before reaching the tapeout stage.

 

Today’s ICs must undergo a long and quite complex process before it can be ready to go to tapeout. Using a collection of software tools known as Electronic Design Automation (EDA), it will help handle the many steps that are necessary to get a final design completed. This means that the circuit board design will be checked out and verified along the way called “signout” before it can take the final step to the tape-out process.

 

By the time tapeout is reached, there is usually a collective sigh of relief as all the stages in the design and verification process have been completed. However, while that is the end of the initial process, there is still the first article to be released as well as the actual samples of the chip that is produced by the semiconductor foundry.

 

There are only two things that can happen, either the design works or it does not and modifications are needed. This is usually as a result of the first article which will shake out any flaws in the manufacturing process or the functionality of the chip itself. Such setbacks can be minor in nature and only take a relatively short time to resolve or it may lead up to a complete redesign.

 

In any case, the tapeout remains a vital part of the finishing process when it comes to the design of ASICs

 

Read more about the different masksets: MLM, MPW and full maskset

Recent Stories