50905 Views

VLSI Design Flow – An Overview

Today, VLSI design flow is a very solid and mature process. The overall VLSI design flow and the various steps within the VLSI design flow have proven to be both practical and robust in multi-millions VLSI designs until now.

 

Each and every step of the VLSI design flow has a dedicated EDA tool that covers all the aspects related to the specific task perfectly. And most importantly, all the EDA tools can import and export the different file types to help make a flexible VLSI design flow that uses multiple tools from different vendors.

 

 

VLSI design flow is not exactly a push-button process. To succeed in the VLSI design flow process, one must have a robust and silicon-proven flow, a good understanding of the chip specifications and constraints, and absolute mastery over the required EDA tools (and their reports).

This article covers the VLSI design flow at a very high level. We will provide more details in future articles explaining more about the activities within each phase. Let’s start with the first step.

 

VLSI System Design

 

Assuming your VLSI specifications are completed and approved by the different parties, it’s time to start thinking about the architectural design. In VLSI system design phase, the entire chip functionality is broken down into small pieces with a clear understanding of the block implementation. For example: for an encryption block, do you use a CPU or a state machine. Some other large blocks need to be divided into subsystems and the relationship between the various blocks has to be defined.  In this phase the working environment is documentation.

 

Register Transfer Level (RTL)

 

For digital VLSIs or for digital blocks within a mixed-signal chip, this phase is basically the detailed logic implementation of the entire VLSI. This is where the detailed system specifications is converted into VHDL or Verilog language. In addition to the digital implementation, functional verification is performed to ensure the RTL design is done according to the specifications.

When all the blocks are implemented and verified the RTL is then converted into a gate-level netlist.

 

Synthesis

 

In this phase, the hardware description (RTL) is converted to a gate-level netlist. This process is performed by a synthesis tool that takes a standard cell library, constraints and the RTL code and produces an gate-level netlist.

 

Synthesis tools are running different implementations to provide best gate level netlist that meets the constraints. It takes into account power, speed, size and therefore the results can vary much from each other. To verify whether the synthesis tool has correctly generated the gate-level netlist a verification should be done.

 

Layout

 

During this stage, the gate-level netlist is converted to a complete physical geometric representation. The first step is floorplanning which is a process of placing the various blocks and the I/O pads across the chip area based on the design constraints. Then placement of physical elements within each block and integration of analog blocks or external IP cores is performed. When all the elements are placed, a global and detailed routing is running to connect all the elements together.

 

Also after this phase, a complete simulation is required to ensure the layout phase is properly done.

 

The file produced at the output of the layout is the GDSII (GDS2) file which is the file used by the foundry to fabricate the silicon. The layout should be done according to the silicon foundry design rules.

 

 

Summary of the different steps in a VLSI Design Flow

 

VLSI Design Flow Step 1: Logic Synthesis

  • RTL conversion into netlist
  • Design partitioning into physical blocks
  • Timing margin and timing constrains
  • RTL and gate level netlist verification
  • Static timing analysis

 

VLSI Design Flow Step 2: Floorplanning

  • Hierarchical VLSI blocks placement
  • Power and clock planning

 

VLSI Design Flow Step 3: Synthesis

  • Timing constrains and optimization
  • Static timing analysis
  • Update placement
  • Update power and clock planning

 

VLSI Design Flow Step 4: Block Level Layout

  • Complete placement and routing of blocks

 

VLSI Design Flow Step 5: VLSI Level Layout

  • VLSI integration of all blocks
  • Place and route
  • GDSII creation

 

 

Get 3 quotes from VLSI design companies for your VLSI project.