8988 Views

Semiconductor Foundry

Figure 1: GlobalFoundries Fab1 in Dresden Germany

 

What is a Semiconductor Foundry?

In simple terms, a semiconductor foundry (also known as a fab) is a factory where silicon wafers are manufactured. The main customers of a semiconductor foundry are chip makers such as: Broadcom, Qualcomm, Intel, AMD and more.

 

Semiconductor foundries today are at the core of our modern-day society, as almost everything that we use in day-to-day life is electronic and made from chips, which all need to be made produced somewhere. Fabs appeared as a response to the rapidly increasing need for semiconductor devices all around the world, which pushed the electronics industry towards better and larger fabrication plants, where economies of scale truly become worthwhile.

 

Wafer fabs are built in various places around the world. But as you expect, the big fabs are located across Asia, more specially in Taiwan. This interactive map shows the location of major semiconductor foundries.

 

There are two types of business models for semiconductor foundry: a pure-play foundry and a non-pure-play foundry.

 

A non-pure-play foundry is a company that provides foundry services (wafer manufacturing) but in parallel they also produce and sell their own ICs.

 

Pure-play semiconductor foundries are producing wafers as a service for other companies: fabless semiconductor companies, integrated device manufacturers (IDMs), and sometimes product companies such as Apple that is making its own chips. TSMC is the largest pure-play foundry.

 

Wafers produced by a semiconductor foundry come in a pre-defined size. The major wafer sizes today are 300mm and 200mm. This paper explains the economy of scale related to wafer size, and the image below shows the various wafer size available in the market today.

 

 

History of Semiconductor Foundry

 

In the beginning, there were no specialized fabs where semiconductor wafers were made. As such, production was limited in size and had big price tags. This is the reason the first foundries came to be, as making more and cheaper electronic components was required to satisfy the growing demand seen since the 1970s and 1980s.

 

In the 1980s, most semiconductor companies owned and operated their own foundries. As such, there were no semiconductor companies that didn’t own their own fabs since there would be no way for them to manufacture their designs.

 

The first shift in this space happened when some companies found they had excess capacity in their own fabs because an economically large semiconductor foundry might turn out to be larger than their own needs for their own product lines. Correspondingly, other companies may have the opposite problem: they didn’t build a big enough foundry, or they were late constructing it, and they had more demand than supply.

 

Semiconductor companies would buy and sell wafers from each other to even out their capacity needs. This became known as the foundry business, analogous to a steel foundry. In a similar way, semiconductor companies with shortages would take their designs to other semiconductor companies with surplus capacity (often even competitors) and have them manufactured for them.

 

The next step in the evolution of the fab was that in the mid-1980s some companies realized that they didn’t need to own a fab to have chips manufactured. These companies would purchase foundry wafers just like any other semiconductor company. These companies came to be called, for obvious reasons, fabless semiconductor companies.

 

Semiconductor companies with fabs became known as integrated device manufacturers (IDMs), to distinguish them from the fabless companies. In 1987 the first of another new breed of semiconductor manufacturing companies was created with the founding of Taiwan Semiconductor Manufacturing Company (TSMC). TSMC was created only to do foundry business for other companies who needed to purchase wafers either because they were fabless or because they were capacity limited.

 

Today, there are a few IDMs, such as Intel, who build almost all of their own chips in their own fabs; there are foundries, such as TSMC and GlobalFoundries, who build none of their own chips, they just build wafers for other companies; and then there are fabless semiconductor companies, such as Xilinx and Qualcomm along with their fab-lite cousins, like Texas Instruments, who do their own design, sell their own products, but use foundries for all or part of their manufacturing.

 

Semiconductor Foundry Market Overview

 

Figure 2: Market Concentration

 

The Semiconductor Foundry Market was valued at $42.03 billion in 2020 and is expected to reach $62.2 billion by 2026, at a CAGR (Compound Annual Growth Rate) of 6.75% over the forecasted period (2021 through to 2026). According to Applied Energy Systems Inc., around 80% of semiconductor development projects are unable to meet their initial production schedules, often due to the foundry’s overestimation of their ability to handle complex tasks.

 

The market for semiconductor foundries is expected to benefit from the rapid growth of the semiconductor industry in general, as semiconductors have become more and more the building blocks of all modern technology and devices. The innovations and advancements in this field have a direct impact on all downstream technologies.

 

The semiconductor industry is set to continue its robust growth to accommodate the increasing demand for semiconductor materials in emerging spaces, such as autonomous driving, artificial intelligence (AI), 5G, and Internet of Things, coupled with consistent spending on research and development and the increasing competition among key players.

 

According to the National Cable and Telecommunications Association, the number of connected devices is expected to reach 50.1 billion in 2020, compared to that of 34.8 billion connected devices in 2018. Every IoT or IIoT device contains sophisticated microchip designs that permit devices to achieve remote connectivity. Further, as the IoT is poised to grow significantly, it will impact the growth of the semiconductor foundry industry as well.

 

According to the Semiconductor Industry Association (SIA), the sales of semiconductors across the world totaled to $96.8 billion during the first quarter of 2019, which was a decrease of 15.5% over the previous quarter and 13% less than the first quarter of 2018. In 2020, as per the World Semiconductor Trade Statistics (WSTS), the semiconductor industry is expected to grow. This is anticipated to increase the demand for the semiconductor foundries, either new construction plans or upgrading older and already operating fabs.

 

The smartphone bill of materials constitutes the most significant portion of the semiconductor foundry activity at the moment, including analog RF components, sensors, processors, and wireless. Foundry vendors are also banking on the growth in power electronics, cryptocurrency, and high-performance computing segments. However, Moore’s Law is about to reach its physical limitation, and breaking such a barrier is a significant challenge for the market vendors. As such, a great amount of the rolling capital will need to be invested in new techniques and materials for future-proofing the vendors’ profit margins.

 

Semiconductor Foundry Leaders

The semiconductor foundry market is consolidated due to a major share of the market being held by top players. Moreover, due to the high set up cost of a semiconductor fabrication plant, new players are finding it increasingly difficult to enter the market. Some of the key players include Taiwan Semiconductor Manufacturing Company (TSMC) Limited, GlobalFoundries Inc., United Microelectronics Corporation (UMC), Semiconductor Manufacturing International Corporation (SMIC), Samsung Group, Dongbu HiTek, Fujitsu Semiconductor Limited, Hua Hong Semiconductor Limited, STMicroelectronics, among others.

 

Figure 3: Top 5 Semiconductor Foundry Sales 2020

 

Semiconductor Foundry Market Trends

Figure 4: Possible future fab technologies

 

Typically, fabs are built to produce one type or size of semiconductor wafer. As such, they are sort of standardized, with the current standard being the 300mm wafer or 200mm wafer. There was a brief push from Intel and the several other players in this industry to bring 450mm wafers to fruition, but that was abandoned in 2016, probably due to the enormous research and development costs associated with the move from 300 to 450mm wafers.

 

Another direction currently being investigated are lights-out fabs, semiconductor fabrication plants where all (or almost all) processes are automated, limiting human error and, in some cases, making it non-existent altogether.

 

In May of 2020, Taiwan Semiconductor Manufacturing Co (TMSC) announced plans to build a semiconductor facility in Arizona, owing to the growing concerns in Washington regarding the security of the technology supply chain, which is largely centred in Asia. Total spending on the project is anticipated to be $12 billion, with TSMC claiming it will directly create 1,600 jobs. The construction of the facility is planned to start in 2021.

 

Also in May of 2020, Semiconductor Manufacturing International Corp (SMIC) has secured an investment worth $2.2 billion dollars from several Chinese state investors. According to SMIC’s announcement, a number of partners under China’s ‘Big Fund’ (a government-backed money pool for funding domestic chip companies) will jointly make the investment in one of SMIC’s plants.

 

The electrification and automation of automobiles have led to increased demand in semiconductor wafers. Semiconductor ICs with different functionalities are used in various automotive products, like navigation control, infotainment systems, and collision detection systems. The inclusion of these features has a significant impact on automobile sales and, correspondingly, the profit margins of those automotive companies.

 

The current automotive outlook needs an unprecedented array of innovations that require substantial numbers of electronic devices. Sensors, cameras, and image processors are prerequisites for advanced driver assistance systems (ADAS) in conventional automobiles, advanced power electronics used in electric vehicles (EVs) and advanced sensor technologies for autonomous self-driving vehicles.

 

Automotive is and will continue to be an attractive sector for foundries because many devices don’t require leading-edge processes, meaning a large number of vendors can participate.

 

In consequence, semiconductor fabrication plants are accelerating their automotive chip production efforts in preparation for a surge in semiconductors used in advanced driver-assistance systems (ADAS) and autonomous driving. The foundries are seeing a growing demand from automotive IC customers amid the push toward traditional cars with more connectivity features, ADAS, and electric/hybrid vehicles.

 

For instance, in June 2019, Analog/mixed-signal and specialty foundry X-Fab has announced that its high-voltage 180nm CMOS semiconductor process, XH018, is now available for automotive applications. These chips will be manufactured at X-Fab’s production facility in Corbeil-Essonnes, France.

 

Some of the world’s most crucial semiconductor foundries are in Asia-Pacific (China, Japan, South Korea, and Taiwan). This has significantly enabled the region to cater to the highest revenue market sectors, with China commanding a prominent share of the semiconductor industry. According to SEMI, China is expected to be the top country in fab investment in 2020, with more than $20 billion in expenditure, driven by memory and foundry projects, funded by both multinational and domestic companies.

 

Companies in the Asia-Pacific region, such as SK Hynix Inc. of South Korea, indicated plans in 2019 to invest $106.66 billion to build four semiconductor fabs. The company will spend an additional $49 billion on two existing factories over the next ten years. This investment is made by the company to maintain its position as one of the world’s largest chipmakers.

 

TSMC, GLOBALFOUNDIRES and a few other semiconductor foundries have started offering packaging services. A recent report shows clearly that TSMC has manage to position itself as a packaging provider among the top OSAT players. The packaging services provided by a semiconductor foundry is typically bumping (e.g. WLCSP). WLCSP package became very popular in the last decade due its advantages in size and price.

 

Recent Stories