2090 Views

Introduction to Intel Foundry Services

This is not the first foray for Intel in the foundry services space. Back in 2013, Intel made its first attempt and started offering production of chips for Altera using the 14nm process. Soon after a couple more companies were announced to have partnered with the Intel Custom Foundry division: Achronix, Tabula, Netronome, Microsemi, and Panasonic.

 

The problem was that their business model for that division at that time was not entirely possible, as many industry experts have stated that they would be effectively spreading the production too thin.

 

Consequently, due to rumored high tooling costs and complexity and ever increasing delays of the 10nm process, Intel finally shut down the project in 2018, with its single major customer, Alterra for the 14nm process, being acquired by Intel in Q4 2015.

 

As for IFS (Intel Foundry Services), it was seen with skepticism by the industry when it was first announced in March 2021, but the second attempt seems to be on track for great success, with EDA solutions optimized for Intel’s technology and manufacturing, Intel’s process-specific IP solutions and partners for design services, making it easier for clients to focus their attention on developing unique products, which will then be implemented and created by designers with a lot of experience with the Intel ecosystem.

 

The Why

 

The glaring reason for this move from Intel is of course the ongoing chip shortage and its all encompassing effects on the world economy as a whole. With IFS, the hope is to both alleviate the strain from the semiconductor industry, but also take advantage of the current situation and better position themselves in the OSAT segment. Also, the IFS Accelerator program aims to bring together the know-how from both designers and engineers to enable better, more open and more collaborative designs and products.

 

It is too soon, though, to see the effects of this new development on the semiconductor market, but for the time being the IFS Accelerator program seems bring with it a new era in the semiconductor industry, with a high integration of services under one ecosystem, which will for sure enable amazing new future products down the line.

 

For more information on the Open Ecosystem that IFS tries to make, please visit “Building an Open Ecosystem”, published on Intel’s website.

 

 

The IFS is currently a division from within Intel, but there is some speculation as to the future split into two separate entities. As the IFS Accelerator program develops over time, this rumor will become more and more likely, as having a separate entity to handle this division makes more sense from a financial and logistical standpoint and can enable IFS to expand faster.

 

The Where

 

To enable the IFS Accelerator program, Intel has committed to expand its existing foundries and to construct new ones, as well. This is extremely important from a scalability point of view, as the global semiconductor situation is not due to silicon shortages, but more on the line of manufacturing and assembly lines shortages, either not enough man-power or not enough fabs to make semiconductor chips.

 

Currently, Intel has quite a few fabs running, with a comprehensive list on Wikipedia. The plan is to expand some of the current foundries to try to catch up to the increasing demand and also facilitate the IFS Accelerator program.

 

As for future fabs, Intel has a lot of expansion plans, from the Americas, to Europe and Asia.

 

In the US, Intel has announced a $20 billion investment in building two new fabs in Arizona, and, more recently, a $20 billion mega-site in New Albany, on the outskirts of Columbus, Ohio.

 

Heading over to Europe, Intel has promised to help the European Union in their promise to reach an increased market share in the semiconductor manufacturing business. There are multiple rumors about fabs in France, Germany, Italy, and some countries from Eastern Europe, but none have been confirmed by either Intel or any European state government.

 

As for Asia, Intel has announced and began work on a packaging plant in Malaysia, due to open in 2024, and another fab in China costing $2.5 billion, for 300 mm wafer production. It will be designated as Fab 68 and will be Intel’s first wafer foundry in Asia.

At the moment, it is too early in the IFS program and Intel has not specified which fabs will be directly involved and how much in the whole program, but more news will soon follow, that we can be sure of.

 

In related news, Intel has also partnered with its old rival IBM to work on research for next-generation logic and packaging technologies, which could have a positive impact on the IFS program, but only time will tell.

 

The How

 

After struggling to keep up and barely improving in the mid 2010s, Intel has realized its gap in the 10nm and 7nm process tiles and has begun taking decent strides in the right direction, with massive expansion budgets for the coming years, putting them on par with TSMC and Samsung.

 

Also, their innovation in different semiconductor chip technologies can be of great use for Intel and its new program, the Intel Foundry Service Accelerator.

 

Working off the FinFET technology, Intel has developed SuperFin, which, according to their statement, will deliver an unprecedented level of performance  uplift in comparison with older FinFET technologies. SuperFin combines a multitude of advances made by Intel engineers, but the most notable is the new Super MIM capacitors, which deliver 5x the capacitance within the same footprint as other industry standard designs. This development alone is strong enough to enable close to a full-node transition’s worth of performance gain.

 

On the process side, Intel has also pushed forward and, using EUV lithography, has expanded their line-up with the Intel 4 and Intel 3 technologies. The most recent breakthrough is Intel 20A, which stands for 20 angstrom and stands on the shoulders of a totally new transistor technology, the RibbonFET, a Gate All Around transistor implementation, and PowerVia, Intel’s implementation of backside power delivery.

 

From the packaging standpoint, Intel has also made improvements and released their new Foveros packaging technology, which uses 3D stacking to enable logic-on-logic integration and provide a lot more flexibility and freedom to designers looking to mix and match different IP core blocks with memory and I/O elements in a completely new, unique device form factor.

 

Another core innovation that is similar to Foveros is the Embedded Multi-Die Interconnect Bridge, or EMIB for short. It is designed more for chip-to-chip connections on a 2D plane rather than the 3D structure of die-to-die stacking technology that is Foveros.

 

For more information regarding the processes and technologies offered by Intel in the IFS Accelerator program, you can visit this section on their official website.

 

The Who

 

As stated, the IFS Accelerator program only functions because of the partnerships that Intel has made with a lot of companies from all the steps involved in the development and production of semiconductor chips. They can be divided in three categories, according the services provided:

 

The EDA Alliance

  • Ansys
  • Cadence
  • Siemens EDA
  • Synopsys

The IP Alliance

  • Alphawave
  • Analog Bits
  • Andes
  • Arm
  • Cadence
  • eMemory
  • M31
  • SiFive
  • Silicon Creations
  • Synopsys
  • Vidatronic

The Design Services Alliance

  • Capgemini
  • Tech Mahindra
  • Wipro

 

These partnerships are very important, as they make up the main attractive point of IFS, which is access to all these services in one single place, reducing the time and hassle needed when trying to prototype and design a product as a fabless company.

 

As time goes on, Intel has committed to adding more and more partners to the list in the effort to establish the Intel Foundry Services Accelerator program as the future industry leader in fabless design of semiconductor chips.

 

Get in Contact with IFS

 

More information about the IFS Accelerator program can be found on Intel’s website, where you will also be able to find a contact email.

 

The main person charged with leading the IFS Accelerator program is a veteran in the semiconductor business, Dr. Randhir Thakur. For communications and inquiries, Jason Gorss, Global Communications Lead, Manufacturing and Foundry at Intel, has been assigned as the go to person with the following US phone number and company email.

Recent Stories