4603 Views

The Ultimate Guide to Chiplets

Introduction to Chiplet Technology

 

Chiplets are small, modular chips that can be combined to form a complete system-on-chip (SoC). They are designed to be used in a chiplet-based architecture, in which multiple chiplets are connected together to create a single, complex integrated circuit. Chiplet-based architectures offer several benefits over traditional monolithic SoCs, including improved performance, reduced power consumption, and increased design flexibility. Chiplet technology is relatively new and is being actively developed by a number of companies in the semiconductor industry.

 

Chiplet is a new type of chip that is paving the way of designing complex SoCs. Chiplet can be considered as a high tech version of Lego building blocks. A complex function is decomposed into a small module, then chiplets that can perform that single specific function very effectively. Hence an integrated system using chiplets can consist of: data storage, signal processing, computing and data flow management is built called the “chiplet”. 

 

Chiplet is a part of a packaging architecture and it can be defined as a physical piece of silicon that encapsulates IP (intellectual property) subsystem with other chiplets by using package level integration approach. We can say that chiplet technology integrates multiple electrical functions in a single package or a system. 

 

Utilizing chiplet technology, engineers can design complex chips rapidly and cost effectively by essentially assembling different types of third party IPs into a single chip or package. These third party IPs can be I/O drivers, memory ICs, and processor cores [1]. 

 

The idea of chiplets originated from the project of DARPA CHIPS (Common Heterogeneous Integration and IP). As the most advanced SoCs are not always acceptable for small scale applications, so for improving the overall system flexibility, the CHIP plan seeks to create a new paradigm for IP reuse, which is chiplet. 

 

While computer technology is still largely dominated by traditional chipsets in most electronic devices today, it seems clear that this trend will change as time goes on. Many experts believe that specialized chiplets will become a common feature in consumer devices as these advanced technologies unfold. There are many reliable and cheaper technologies available for designing the chiplets. 

 

Moore’s Law is a prediction made by Gordon Moore, co-founder of Intel, in 1965 that the number of transistors on a microchip would double approximately every two years, leading to exponential increases in computing power and decreases in cost. Chiplet technology can be seen as a way to extend Moore’s Law and continue the trend of improving performance and reducing costs in the semiconductor industry.

 

One way in which chiplet technology can help extend Moore’s Law is by allowing for the creation of more complex and powerful SoCs without having to fit all of the necessary components onto a single, monolithic chip. By breaking a complex SoC into smaller, modular chiplets and connecting them together, it becomes possible to continue scaling up the number of transistors and other components without hitting the physical limits of a single chip. This can help keep pace with the performance improvements and cost reductions predicted by Moore’s Law.

 

Nowadays, the heterogeneous chiplet integration market is growing more rapidly. Different microprocessors like AMD’z Epyc and Intels’ Lakefield are high in volume manufacturing with chiplet designs and heterogeneous integration packaging technology [2].

 

Figure 1: AMD’s Genoa CPUs Offer Up to 96 5nm Cores Across 12 Chiplets (image: AMD)

 

Chiplet History

 

The concept of chiplets has been around for several decades, but it has gained more attention in recent years as a way to address the challenges of scaling down traditional monolithic ICs. With the continued advancement of Moore’s Law, the size and complexity of monolithic ICs has increased significantly, leading to higher costs and more difficulty in manufacturing. Chiplet-based design offers a potential solution to these challenges by allowing companies to use smaller, more specialized chiplets that can be easily combined and assembled into a complete system.

 

The term “Chiplet” is relatively new and has only been used for about five years or so. It was originally coined by researchers and scientists at the University of Michigan as they began investigating ways to improve computer chips’ design, efficiency, and functionality. The term is a combination of the word “chip” and the word “petite,” which can be translated to mean “small.” So a Chiplet is a very small computer chip used in high-tech devices to perform more complex tasks than traditional CPU chips. It has developed rapidly over the last few years, and many experts believe that it will begin to replace traditional chipsets in consumer devices due to its enhanced functionality.

 

In May 2007, DARPA (Defense Advanced Research Project Agency) started its first COSMOS (compound semiconductor material on silicon) for heterogeneous chiplets. DARPA started CHIPS whose purpose was to make modular computers out of chiplets. It also addresses different integration standards, IP blocks and the design tools available [2]. 

 

Market Forecast

 

According to the information provided in the table, the chiplets market is expected to experience significant growth in the coming years. In 2025, the market is forecasted to reach a value of $5.7 billion, according to a report published by MarketsandMarkets. This represents a compound annual growth rate (CAGR) of 18.9% from 2020 to 2025.

 

In 2031, the chiplets market is expected to reach a value of $47.2 billion, according to a report published by Transparency Market Research. This represents a CAGR of 23.9% from 2021 to 2031. This forecast takes into account the increasing demand for high-performance computing and data analytics, as well as the growing trend towards modularity and customization in electronics design.

 

These figures suggest that the chiplets market is poised for promising growth in the coming years. Chiplets are small, modular chips that can be combined to form a larger, more complex system-on-a-chip (SoC). They offer a number of benefits over traditional monolithic chips, including improved performance, cost savings, and design flexibility. These factors, along with the increasing demand for high-performance computing and data analytics, are likely to drive the growth of the chiplets market in the coming years.

 

 

Benefits Of Chiplets And Why Are Chiplets Better?

 

Chiplets offer several important benefits over traditional monolithic processor designs. They can be customized and upgraded rapidly and easily, and therefore reducing development time and costs. Perhaps most importantly, chiplets improve performance by using specialized processing elements optimized for particular tasks. For example, if you need high processing power for AI applications in your device, you could replace the traditional CPU with a chiplet designed specifically for AI tasks.

 

In addition to these performance benefits, chiplets make it possible to reduce processors’ size and power requirements. By consolidating multiple individual functions into single units, they eliminate the need for much of the wiring, cooling infrastructure, and other components required by conventional chips. This lowers manufacturing costs and allows for smaller device designs ideal for mobile devices like smartphones or AR/VR headsets.

 

The flexibility offered by chiplets also provides important design and development benefits. Because they can be customized and upgraded easily, chiplets allow manufacturers to quickly adapt to changing market conditions or new technological developments. They also simplify the production process by reducing the steps required to design and manufacture customized SoCs.

 

chiplet technology can help improve yield and reduce costs by allowing manufacturers to use smaller, more specialized chiplets rather than a single, monolithic chip for certain tasks. This can help improve yield because it reduces the complexity of the chip manufacturing process, which can reduce the number of defects that occur and increase the overall yield of usable chips. Additionally, because chiplets can be designed and manufactured separately, it can be easier to optimize the manufacturing process for each specific chiplet, which can further improve yield.

 

Another way in which chiplets can help reduce costs is by allowing manufacturers to use a mix-and-match approach to creating SoCs. Rather than designing and manufacturing a new chip from scratch for each new product, manufacturers can instead use a combination of pre-existing chiplets to create the desired SoC, which can be faster and more cost-effective. This can be particularly useful for companies that need to bring products to market quickly and need to be able to make rapid changes to their SoCs to meet changing market demands.

 

Chiplets Challenges

 

The following challenges are encountered by the chiplets technology: 

 

The first and foremost challenge is to ensure the low cost and high reliability of chiplet mode and it is based on advanced packaging technology. Packaging technology is the focus of attention for chiplet. Its significance can also be observed from the positive shift of TMSC to packaging and development of other packaging technologies like InFo and CoWos. 

 

The second challenge is to maintain good quality of product with an economical product rate. Even though, Chiplet is a certified product but still there is a yield problem. The whole system of chiplet becomes more costly if some problem is found in one of the chiplet silicon chips in SiP. The graph in figure below depicts percentage yield with respect to chip area. 

 

Another prominent challenge is testing coverage. Since multiple chiplets are embedded together, each chiplet can be connected to limited number of pins. Some of the chiplets become inaccessible outside the pins which results problems in chip testing [3]. 

 

Chiplets, The Big Players

 

Here are 10 companies that are actively working on chiplet technology:

 

Intel: Intel is one of the leading companies in the semiconductor industry and is actively researching and developing chiplet technology. The company has announced plans to use chiplet-based architectures in its future processors.

 

AMD: AMD is another major player in the semiconductor industry and is also actively researching and developing chiplet technology. The company has already released processors that use chiplet-based architectures.

 

IBM: IBM is a leading provider of advanced semiconductor technologies and is actively working on chiplet technology. The company has developed a chiplet-based architecture for its Power processors and is also researching the use of chiplets in other applications.

 

TSMC: TSMC is a major contract semiconductor manufacturer and is actively researching and developing chiplet technology. The company has announced plans to use chiplet-based architectures in its future processors.

 

Samsung: Samsung is a major player in the semiconductor industry and is actively researching and developing chiplet technology. The company has already released processors that use chiplet-based architectures.

 

GlobalFoundries: GlobalFoundries is a major contract semiconductor manufacturer and is actively researching and developing chiplet technology. The company has announced plans to use chiplet-based architectures in its future processors.

 

SK Hynix: SK Hynix is a major provider of memory and storage solutions and is also actively researching and developing chiplet technology.

 

Micron: Micron is a leading provider of memory and storage solutions and is actively researching and developing chiplet technology.

 

Qualcomm: Qualcomm is a major player in the mobile chip market and is actively researching and developing chiplet technology. The company has announced plans to use chiplet-based architectures in its future processors.

 

Huawei: Huawei is a leading provider of telecommunications equipment and is also actively researching and developing chiplet technology. The company has announced plans to use chiplet-based architectures in its future processors.

 

While many challenges come with chiplets, especially in the context of commercial applications and scalability, they offer a promising solution to some of today’s most pressing chip design issues. With continued development and innovation, we can expect to see more widespread use of chiplets soon [4]. With chiplet technology gaining momentum, it’s only natural that many big players in the industry are starting to get involved. GlobalFoundries and Samsung are two major companies at the forefront of this trend, each working on their own solutions to the chiplets challenge.  Intel, AMD, Qualcomm, Arm, TSMC, and Samsung are working together for defining new standards for chiplet-based CPUs. 

 

There is a sizable group for UCIe (Universal Chiplet Interconnect Express) promoters which includes AMD, Arm, Intel, and Qualcomm, chip fabs TSMC and Samsung (and Intel), chip packaging firm Advanced Semiconductor Engineering, and cloud computing providers Google, Microsoft, and Meta.

 

31da0805-4fd0-4b80-a68b-0bcc38bc0242.jpg

 

Figure 2: UCIe Logo

 

What is the difference between Chiplet and SoC?

 

Compared to SoC, a chiplet is a smaller-scale circuit manufactured separately from the rest of the device. On the other hand, SoC integrates all of its components on a single silicon. Individual chiplets are connected using 3D stacking technology. This allows for each module to be optimized individually and means that the chip can be scaled without affecting performance. For example, chiplets can be made more power efficient than those SoC and still maintain the same level of performance. Because of this customization and modularity, chiplet designs are also easier to manufacture making them a good choice for devices that do not require high-performance circuitry. Although some drawbacks to chiplet designs exist, they are generally considered the more efficient alternative to SoC solutions.

 

 

While there is a contrast in heterogeneous chiplet integration. These chiplets redesign into smaller chiplets and then packaging technology is used for integrating dissimilar chiplets that perform different functions. Two types of heterogeneous chiplets integration are:

  

  • Chip split and integration 
  • Chip partition and integration 

 

Chip split and integration 

 

In this approach, SoC logic is split into multiple logics like logic 1, logic 2, and logic 3. They are stacked together either by CoW (chip on wafer) or WoW (wafer on wafer) as depicted in the following figure. The frontend integration yield smaller package area as well as better electrical performance. 

 

Figure 3: Chip split and integration

 

 Chip partition and integration 

 

This scheme is shown in the following figure. It can be observed that SoC such as the logic and I/Os are partitioned into functions: logic and I/O. They are then integrated using frontend CoW or WoW method. Logic and I/O chiplets are then assembled by utilizing cutting-edge packaging techniques on the same substrate for a single package [2].

 

Figure 4: chip partition and integration

 

While there are many advantages to choosing a chiplet design over a SoC, there are also some drawbacks. For example, chiplets may be difficult to integrate and create a more complex manufacturing process than a SoC. Moreover, the use of 3D stacking technology can introduce reliability issues when connecting modules together. Additionally, if one module fails, it may affect the functionality of the rest of the device.

 

Overall, chiplet designs are generally considered more efficient than SoCs for modules that don’t require high-performance circuitry but demand customization. Although there are some drawbacks to this design choice, these are largely outweighed by its many benefits. As such, it may soon become the preferred choice for many types of devices.

 

Chiplet Standards

 

Chiplet standardization efforts have been developed to help address issues related to the performance of these connections. These include improved thermal management, lower power consumption, and reduced latency. They can also help to improve the efficiency of chip-to-chip communication and integration by increasing the amount of data traffic that flows through these connections.

 

Chiplet standardization efforts are ongoing and there are currently a number of different standards in use for interfacing between chips. For example, cache coherent interconnect for accelerators (CCIX) is supposed to be a coming standard for SoC’s chiplets. Multiple chips are contained within the same chip package which together acts as a large single chip [5]. To allow end users to easily mix and match chiplet components, the UCIe 1.0 specification offers a complete standardized die-to-die interconnect with physical layer, protocol stack, software model, and compliance testing. The following table shows the characteristics and key matrices of UCIe 1.0 [6]. UCIe standards cover the physical and physical and protocol layers of chiplets design. Also these standards define how chiplets should be connected together for communicating with each other. Two different performance levels for UCIe version 1.0 are defined for accommodating different packaging options: standard and advanced. In standard package scheme 16 data lanes with 25mm of space between the chiplets is defined. While in advanced packaging, 64 data lanes and 2mm of space is allowed [7]. The UCIe 1.0 standards are basically defined for 2D and 2.5D chip packaging only, but not 3D direct die-to-die technologies like the forthcoming Foveros Direct. The standard will need to be updated as 3D chip packing becomes available in order to take into account the additional features and even higher densities that will be possible.

 

Figure 5: characteristics and key matrices of UCIe 1.0

 

One of the biggest challenges for chiplet standardization is ensuring that chips can be designed to work with various interposer designs and standards. There has been some progress on this front, including efforts by multiple organizations to write standardized specifications for chiplet interfaces. However, as more companies adopt these types of technologies, it may become increasingly difficult to ensure compatibility. Companies interested in implementing these technologies will need to keep a close watch on the current state of chiplet standardization efforts in order to maximize their chances of success.

 

Future of chiplets

 

It’s difficult to predict the exact future of chiplet technology, as it will depend on a number of factors, including technological advancements, market demand, and the strategies of individual companies. However, chiplet technology has the potential to revolutionize the way processors and other electronic components are designed and manufactured. By allowing companies to mix and match different chiplets to create customized products, chiplet technology could lead to more efficient and cost-effective manufacturing processes. It could also allow for the creation of more specialized and customized products, as companies can select the specific chiplets that best meet their performance and power requirements. Overall, it seems likely that chiplet technology will continue to be an important area of innovation in the electronics industry in the coming years.

 

Chiplets Applications 

 

Chiplet technology can be used in a wide range of applications, including in processors, memory modules, and other types of electronic components. Here are a few examples of chiplet applications:

 

Processors: One of the most common applications of chiplet technology is in processors for computers, smartphones, and other electronic devices. By using chiplets, companies can create processors that are customized for specific performance and power requirements, and that can be more easily scaled up or down depending on the needs of the device.

 

Memory modules: Chiplet technology can also be used in the design of memory modules, such as DRAM or flash memory. By using chiplets, manufacturers can create memory modules that are more scalable and that can be customized to meet the specific needs of different devices.

 

Networking equipment: Chiplet technology can be used in the design of networking equipment, such as routers and switches. By using chiplets, companies can create networking equipment that is more flexible and that can be customized to meet the needs of different types of networks.

 

These are just a few examples of the types of applications where chiplet technology could be used. It is likely that chiplet technology will be used in a wide range of other applications as well, as the technology continues to evolve and be adopted by more companies.

 

One example of a Qualcomm chip that uses chiplet technology is the Snapdragon 888 processor. This processor is used in a number of high-end smartphones and is designed to deliver fast performance and low power consumption. The Snapdragon 888 is built using multiple chiplets, each containing a group of cores and other components. The chiplets are connected using Qualcomm’s “system on a chiplet” (SoC) technology, which allows for high-speed communication between the different chiplets and other components within the processor. The Snapdragon 888 is just one example of a Qualcomm chip that uses chiplet technology; the company has a number of other products that use this approach as well. 

 

There are several other companies besides Intel, AMD, and Qualcomm that are using chiplet technology in the market today. Here are a few examples:

 

Intel: Intel is a company that specializes in the design and development of CPUs. The company has adopted a chiplet-based design for some of its products, including its Stratix 10 FPGAs (Field Programmable Gate Arrays). You can learn more about Intel chiplet technology at the following URL: https://www.intel.com/content/www/us/en/products/details/fpga/stratix/10.html

 

Marvell: Marvell is a company that designs and develops a variety of electronic components, including processors, storage systems, and networking equipment. The company has used chiplet technology in some of its products, including its Octeon Fusion processors. You can learn more about Marvell’s chiplet technology at the following URL: https://www.marvell.com/content/dam/marvell/en/public-collateral/embedded-processors/marvell-infrastructure-processors-octeon-fusion-cnf95xx-product-brief.pdf

 

Xilinx: Xilinx is a company that specializes in the design and development of FPGAs (Field Programmable Gate Arrays) and other types of programmable logic devices. The company has adopted a chiplet-based design for some of its products, including its Versal ACAP (Adaptive Compute Acceleration Platform) devices. You can learn more about Xilinx’s chiplet technology at the following URL: https://www.xilinx.com/products/silicon-devices/adaptive-compute-acceleration-platform-acap.html

 

These are just a few examples of companies that are using chiplet technology in the market today. There are likely many other companies that are also using this approach in their products.

 

A SoC based on multiple chiplets can be used for edge artificial intelligence (AI) applications. The SoC is designed using six chiplets along with other functional modules like FPGA (Field Programmable Gate Arrays), microcontroller unit, flash memory, and 3-axis magnetic sensor as shown in the Figure 6 [9]. Active silicon interposer is used for interconnecting all these chiplets. It provides the field programming features that give rapid reconfiguration from chiplet interconnection to redesign of micro architecture. The system is very flexible with various smart sensor types, providing more opportunities for a variety of edge AI applications, such as Keyword Spotting (KWS).

 

Figure 6: Chiplet-based System-on-Chip for Edge Artificial Intelligence

 

So while chiplets may seem relatively innovative, they are quickly becoming one of the most important developments in modern chip design. As more and more manufacturers recognize their benefits, it seems likely that chiplets will soon become a regular feature in consumer electronics and many other industries and applications. Whether you’re looking for the perfect computer chip or have another electronics application in mind, chiplets are likely to be an excellent choice.

 

Summary

 

Chiplet technology is a modular design approach that involves creating small, self-contained chips, or “chiplets,” that can be combined to create a larger system. Each chiplet is designed to perform a specific function, and by combining different chiplets, companies can create custom solutions that are tailored to their specific needs. Chiplet technology has the potential to revolutionize the way electronic components are designed and manufactured, as it allows for more efficient and cost-effective production processes and enables the creation of more specialized and customized products.

 

There are several key benefits to chiplet technology. First, it allows for more flexible and scalable designs. By using chiplets, companies can mix and match different components to create solutions that are tailored to their specific performance and power requirements. This can lead to more efficient and cost-effective manufacturing processes, as it allows companies to create products that are optimized for their specific needs.

 

Second, chiplet technology can help to improve the performance of electronic devices. By using chiplets, companies can create solutions that are optimized for specific tasks, leading to faster and more efficient performance. Additionally, chiplet technology can help to reduce power consumption, as it allows for more efficient use of resources.

 

Finally, chiplet technology has the potential to accelerate innovation in the electronics industry. By enabling the creation of more specialized and customized products, chiplet technology could lead to the development of new and innovative technologies.

 

 

References 

[1]  https://books.google.com.pk/books?id=YRlSEAAAQBAJ&pg=PA166&dq=chiplets+history&hl=en&sa=X&ved=2ahUKEwiE8921hqH8AhVvQ_EDHW6fCR4Q6AF6BAgJEAI#v=onepage&q&f=false

[2]  https://books.google.com.pk/books?id=5RkvEAAAQBAJ&pg=PA413&dq=introduction+to+chiplets&hl=en&sa=X&ved=2ahUKEwip6cOHjKH8AhUtcvEDHTYGD_cQ6AF6BAgHEAI#v=onepage&q=introduction%20to%20chiplets&f=false

 

[3] https://books.google.com.pk/books?id=kOlxEAAAQBAJ&pg=PA134&dq=what+are+the+chiplets&hl=en&sa=X&ved=2ahUKEwiApoHH-KD8AhXv7rsIHVY1CrUQ6AF6BAgIEAI#v=onepage&q=what%20are%20the%20chiplets&f=false

[4] https://www.reuters.com/technology/amd-amends-agreement-with-globalfoundries-buy-21-bln-worth-wafers-2021-12-23/

[5] https://books.google.com.pk/books?id=xGIlEAAAQBAJ&pg=PA222&dq=chiplet+standards&hl=en&sa=X&ved=2ahUKEwij9q200aL8AhUcRPEDHWJ8DI4Q6AF6BAgCEAI#v=onepage&q=chiplet%20standards&f=false

[6] https://www.tomshardware.com/news/new-ucie-chiplet-standard-supported-by-intel-amd-and-arm

[7] https://arstechnica.com/gadgets/2022/03/intel-amd-and-other-industry-heavyweights-create-a-new-standard-for-chiplets/

[8] https://books.google.com.pk/books?id=YRlSEAAAQBAJ&pg=PA127&dq=applications+of+chiplets&hl=en&sa=X&ved=2ahUKEwjIwdWYi6T8AhWiM-wKHd2BC1MQ6AF6BAgEEAI#v=onepage&q=applications%20of%20chiplets&f=false

[9] https://sci-hub.hkvisa.net/10.1109/edtm50988.2021.9421028

 

Recent Stories